问题标签 [flip-flop]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
3 回答
4983 浏览

ruby - Ruby 触发器什么时候有用?

多亏了教程,我想我理解了触发器的工作原理,但是那里的示例只是为了教学而设计的。谁能举例说明您如何实际使用或将使用触发器?

我正在寻找一个真实的应用程序,而不仅仅是另一个演示。这个工具能解决什么问题?

该链接曾经是http://vision-media.ca/resources/ruby/ruby-flip-flop-or-range-operators,但现在似乎是垃圾邮件。

0 投票
6 回答
1686 浏览

perl - Perl 的触发器操作符有问题吗?它具有全局状态,我该如何重置它?

我很沮丧。好的,所以这可能是我发现的最有趣的 Perl错误了。即使在今天,我也在学习有关 Perl 的新知识。本质上,触发器运算符..返回false直到左侧返回true,然后返回true直到右侧返回false保持全局状态(或者这就是我的假设。)

我可以重置它吗(也许这将是对几乎从未使用过的 Perl 4-esque 的一个很好的补充reset())?或者,有没有办法安全地使用这个运算符?

我也没有看到这个(全局上下文位)记录在任何地方,perldoc perlop这是一个错误吗?

代码


剧透

0 投票
1 回答
288 浏览

perl - 在 Perl 中对范围运算符 (..) 的结果调用“标量”

所以,我相信这与数组和列表之间的区别有关,但我不明白这里发生了什么。谁能解释一下 Perl 如何以及为什么将表达式 like与and(1..4)区别对待?(1, 2, 3, 4)@{[1..4]}

0 投票
1 回答
481 浏览

perl - 触发器操作员/读取线计数器问题

阅读这个Perl: extract rows from 1 to n (Windows)我不理解触发器操作符/读取线计数器部分。

1
2
3E0

有人可以更详细地解释一下这个输出的来源吗?

0 投票
3 回答
435 浏览

ruby - 使用红宝石触发器作为过滤器可以不那么笨拙吗?

为了获得部分文本,我在true if触发器前使用了一个 kludge:

如果我删除该true if位,它会抱怨

范围值错误(ArgumentError)

有没有可能让它不那么笨拙,或者我应该只是这样做

还是有更好的方法不使用枚举?

0 投票
3 回答
935 浏览

ruby - 是否存在与 Perl 或 Ruby 中的触发器运算符等效的函数式编程概念?

Ruby(和 Perl)有一个触发器的概念:

它给出了一个序数列表,例如

将在达到“第三”时开始打印,并在达到“第五”时停止:

是否有与此类似的函数式编程概念,或者这通常会用takewhiles 来描述吗?我不是在问一种特定的语言,只是你会用什么术语来描述它。

0 投票
0 回答
1968 浏览

fibonacci - 前七个斐波那契数所需的最小 d 触发器数量

我在准备考试时遇到了一个问题。

设计一个输出前七个斐波那契数然后回绕的计数器电路所需的最小数量的 d 触发器和组合逻辑是多少?

A) 3
B) 4
C) 5
D) 6
E) 7

我的答案 B

七个斐波那契数 => 1 1 2 3 5 8 13。

要数到 13,我们需要 4 个触发器,因此我选择了 4 个。

但给出的正确答案是解决方案是 A。

有人可以解释一下吗?

0 投票
3 回答
597 浏览

vhdl - 错误:标识符“q”在 T 触发器的体系结构中不可读

我正在尝试使用 VHDL 对 T 触发器进行建模。

但我得到的错误是

我认为错误的原因是,当 q 尚未初始化时,我使用的是“not q”。在这里纠正我,如果我错了。

以及如何解决这个问题?我已经使用 Symphony EDA 免费版正确建模了 D 触发器及其测试台波形。

0 投票
3 回答
1330 浏览

counter - 环形计数器测试波形的未定义输出

我已经使用 D 触发器模拟了 4 位环形计数器。

D 触发器位于单独的文件中,包含在我的工作区中。D 触发器正常工作(提供正确的输出波形)。

这是环形计数器的代码:

这是环形计数器的测试台代码:

但是“输出”的波形对于所有位都是“U”。我哪里错了?

0 投票
3 回答
1634 浏览

computer-science - 最少数量的 D 触发器

我遇到了以下问题,无法确定答案。您有什么建议,任何帮助将不胜感激。

对于所有整数 n>= 3,斐波那契数列 F(n) 由 F(1)=1、F(2)=1 和 Fn=F(n-2) + F(n-1) 定义。什么是设计一个输出前七个斐波那契数(即 F1 到 F7 )然后回绕的计数器电路所需的最小 D 触发器数量(以及组合逻辑)?

(A) 3 (B) 4 (C) 5 (D) 6 (E) 7

提前致谢