-1

我正在尝试模拟以下 Github 存储库的验证文件https://github.com/waviousllc/wav-lpddr-hw

仓库说我应该使用 cadence VIP,但我只能访问 Modelsim,现在项目的 rtl 文件编译成功,但验证文件没有。

验证文件使用了 uvm 但他们没有为它做任何包含,我必须手动添加

`include "uvm_macros.svh"

import uvm_pkg::*;

在每个包含 uvm 的文件的开头。当然这些文件相互引用,所以我也浏览了每个文件并添加了适当的包含

在 ModelSim SE-64 10.5 上是否有任何解决方法?

4

0 回答 0