0
4

2 回答 2

0

iverilog -o sinGen_TB sinGenerator_TB 只生成最终的可执行文件 sinGen_TB 。

使用 man iverilog 获取有关如何运行 Iicarus 模拟器的更多信息。

可执行文件需要运行 - vvp sinGen_TB. 这将运行仿真并生成一个可由波形查看器打开的输出文件。

[我猜./sinGen_TB也运行模拟]

您还需要添加下面的代码来转储波形。

initial
 begin
    $dumpfile("sinGen_TB.vcd"); //file name 
    $dumpvars(0,sinGenerator_TB); // module name 
 end
于 2016-07-11T21:42:44.330 回答
0

Verilog 文件通常.v用作文件扩展名;SystemVerilog 使用 .sv. 请使用文件扩展名。它可以帮助模拟器了解您要编译的语言(所有现代 Verilog 模拟器都是具有向后兼容性的 SystemVerilog 模拟器)。加上文本编辑器,例如 vim 和 emacs,使用文件扩展名来决定如何进行语法高亮/格式化。

模拟器需要生成.vcd文件。Scansion 只是一个查看波形的工具。它与生成波形无关,似乎与问题无关。

让模拟器知道在哪里创建它需要的 VCD 文件$dumpfile;知道将什么信号放入它需要的 VCD 文件中$dumpvars。阅读IEEE Std 1800-2012 § 21.7值更改转储 (VCD) 文件

例如,如果您想转储所有内容并将其放入 dump.vcd,则将其添加到您的测试台:

initial begin
  $dumpfile("dump.vcd");
  $dumpvars;
end
于 2016-07-11T22:45:48.813 回答