我想在我的项目中使用 BASYS 3 的时钟。当我搜索项目的约束时,我发现了以下代码:
set_property PACKAGE_PIN W5 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
有人可以向我解释吗?我知道clk
是时钟的输入,但在最后一行我应该怎么做?我应该改变什么吗?还有什么时期 10.00 和waveform{0 5}
手段?请你帮助我好吗?